library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use STD.textio.all;
entity testStdLogicTextio is
end entity testStdLogicTextio;
architecture arch of testStdLogicTextio is
file F_input : text open read_mode is "../input.txt";
file F_output : text open write_mode is "../output.txt";
signal SR_Clock : std_logic := '0';
signal SR_reset : std_logic;
signal SR_Value1 : integer;
signal SR_Value2 : integer;
signal SR_Value3 : integer;
signal SR_Value4 : integer;
signal SR_reading : std_logic;
begin
SR_reset <= '1', '0' after 3 ns;
SR_Clock <= not SR_Clock after 10 ns;
process (SR_Clock, SR_reset) is
variable theline : line;
variable V_Value1 : integer;
variable V_Value2 : integer;
begin
if(SR_reset = '1')then
SR_Value1 <= 0;
SR_Value2 <= 0;
SR_reading <= '0';
elsif rising_edge(SR_Clock) then
if(not endfile(F_input))then
readline(F_input, theline);
read(theline, V_Value1);
SR_Value1 <= V_Value1;
read(theline, V_Value2);
SR_Value2 <= V_Value2;
SR_reading <= '1';
else
SR_reading <= '0';
end if;
end if;
end process;
SR_Value3 <= SR_Value1*SR_Value2;
SR_Value4 <= SR_Value2+SR_Value1;
process (SR_Clock, SR_reset) is
variable theline : line;
begin
if SR_reset = '1' then
elsif rising_edge(SR_Clock) then
if(SR_reading = '1')then
write(theline, string'("Op1 = "));
write(theline, SR_Value1, left, 8); --alignement a gauche, taille minimum de 8 caracteres
write(theline, string'("Op2 = "));
write(theline, SR_Value2, left, 8); --alignement a gauche, taille minimum de 8 caracteres
write(theline, string'("ResMult = "));
write(theline, SR_Value3, right, 4); --alignement a droite, taille minimum de 4 caracteres
write(theline, string'(" ResAdd = "));
write(theline, SR_Value4, left, 9); --alignement a gauche, taille minimum de 9 caracteres
writeline(F_output, theline);
-- les deux lignes ci-dessous sont expliquees dans la section suivante
assert SR_Value1 > SR_Value2 report "SR_Value1 = " &integer'image(SR_Value1) severity warning;
assert SR_Value1 > SR_Value2 report "SR_Value2 = " &integer'image(SR_Value2) severity warning;
end if;
end if;
end process;
end architecture arch;