A test bench is a VHDL file that will only be used to test one or more components. Its VHDL description is not necessarily synthesizable, it is even rarely synthesizable. Indeed we often use non-synthesizable features such as wait and after
A test bench is a module that has no inputs/outputs, it only includes one or more components, drives their inputs and possibly monitors their outputs.
libraryieee;useieee.std_logic_1164.all;useieee.numeric_std.all;-- exemple de test benchentitytb_Moduleisendtb_Module;architecturearchi_tb_Moduleoftb_ModuleiscomponentModuleis-- module que l'on souhaite testergeneric(G_GenericA:integer;G_GenericB:integer);port(I_Clock:instd_logic;I_Reset:instd_logic;I_Enable:instd_logic;I_Data:instd_logic_vector(G_GenericA-1downto0);I_Address:instd_logic_vector(G_GenericB-1downto0);O_Ready:outstd_logic;O_ResultValid:outstd_logic;O_Result:outstd_logic_vector(G_GenericA+G_GenericB-1downto0));endcomponent;constantCST_GENERIC_A:integer:=12;constantCST_GENERIC_B:integer:=5;signalSR_Clock:std_logic:='0';signalSR_Reset:std_logic;signalSR_Enable:std_logic;signalSR_Data:std_logic_vector(CST_GENERIC_A-1downto0);signalSR_Address:std_logic_vector(CST_GENERIC_B-1downto0);signalSC_Ready:std_logic;signalSC_ResultValid:std_logic;signalSC_Result:std_logic_vector(CST_GENERIC_A+CST_GENERIC_B-1downto0);beginSR_Clock<=notSR_Clockafter7ns;SR_Reset<='1','0'after59ns,'1'after2313ns,'0'after2350ns;instance1_Module:Modulegenericmap(G_GenericA=>CST_GENERIC_A,G_GenericB=>CST_GENERIC_B)portmap(I_Clock=>SR_Clock,I_Reset=>SR_Reset,I_Enable=>SR_Enable,I_Data=>SR_Data,I_Address=>SR_Address,O_Ready=>SC_Ready,O_ResultValid=>SC_ResultValid,O_Result=>SC_Result);process-- process de pilotage des entrees du composant a testerbeginSR_Enable<='0';SR_Data<=(others=>'0');SR_Address<=(others=>'0');waitfor61ns;-- wait : instruction non synthetisablewaituntilrising_edge(SR_Clock);SR_Enable<='1';SR_Data<=std_logic_vector(to_unsigned(111,CST_GENERIC_A));SR_Address<=std_logic_vector(to_unsigned(15,CST_GENERIC_B));waituntilrising_edge(SR_Clock);SR_Enable<='1';SR_Data<=std_logic_vector(to_unsigned(1,CST_GENERIC_A));SR_Address<=std_logic_vector(to_unsigned(7,CST_GENERIC_B));-- ... --endprocess;endarchi_tb_Module;